Jump to content
GIGN Forum

Partynight @ Gign


samuray
 Share

Recommended Posts

  • Replies 95
  • Created
  • Last Reply

Top Posters In This Topic

pag, pag bet vajag vai citu serveri vai vairaak slotu, tgd visi ap jau kaadiem ~9 buhnjiis serverii a tiem kam nav regots niks tie lidos araa, serveris buus visu laiku pilns un tad gaidiis ~5 h kad atbriivosies sloti? :thumbsdown: Ja kko izdomaas ar slotiem tad es in.

Link to comment
Share on other sites

Labriit. Vai tu vareetu beigt bezteemaa spamot? Vai arii tu vienkaarshi nemaaki lasiit? Izlasi tachu topika nosaukumu un samuraja postu pirms saac kaut ko dzejot. :slap:

Teemaa - pacentiishos tikt. Pie tam esmu regots, taa ka varbuut spiid arii paspeeleet. :whistling:

Link to comment
Share on other sites

Gribētāju būs ~ 50 cilvēk plus vel kādi ~10, kas nemaz neko par tādu pasācienu nezinās!Tā kā, varbūtība tikt iekšā ir samērā maza un tomēr viņa pastāv,bet, ja nu akurāti piedomājot pie visa,tad nu principā tikt iekšā būs maz vai neiespējami,bet šā vai tā jāpameiģina būs,jo tik un tā nebūs, ko darīt vakarā,bet, ja tomēr tā labi padomā, tad vakars it kā varētu būt jau aizņemts :drink::noper:

P.s. Principā, pēc Pitagora teorēmas izreiķinot,Jūs sapratāt, ko es ar to gribēju teikt :mIm:

Edited by GooD`MeN
Link to comment
Share on other sites

baac... es arii gribeetu pievienoties, ja vien vareetu salabot savu cssu.

btw - probleema - kaa eju iekshaa, taa raksta, ka input is out of range 75hz - meegjinaju jau uzlikt patchus pa jaunam, bet tik un taa neliidz.

Nop, pie shortcutu nekas nava pierakstiits. Ir uzlikta rezoluucija par lielu, bet es nevaru izmainiit to, jo configos nekur nava.

Edited by Nak3D
Link to comment
Share on other sites

btw - probleema - kaa eju iekshaa, taa raksta, ka input is out of range 75hz - meegjinaju jau uzlikt patchus pa jaunam, bet tik un taa neliidz.

klauns...

gan jau pie propertijiem ierakstiiji no accel utt , et beigas kur ir freq 100 tev jauzliek 75 hz....

Link to comment
Share on other sites

Guest
This topic is now closed to further replies.
 Share


×
×
  • Create New...